omniture

AI赋能Gululu Q智能语音水杯 多产品线持续发力儿童智能物联领域

2019-10-17 10:00 7662
中国高端儿童水杯Gululu系列智能互动水杯,将于 10月21日天猫希诺旗舰店上线旗下第五款产品Gululu Q智能语音水杯,并于11月在天猫Gululu母婴旗舰店正式上线。

上海2019年10月17日 /美通社/ -- 中国高端儿童水杯Gululu系列智能互动水杯,将于10月21日天猫希诺旗舰店上线旗下第五款产品Gululu Q智能语音水杯,并于11月在天猫Gululu母婴旗舰店正式上线。新品Gululu Q内置天猫精灵智能语音助手,用AI黑科技赋能儿童大健康产业。历经三年五款定位不同产品的更新迭代,Gululu凭借自身软硬件能力,将新品受众延伸至大众市场,希望通过亲民的定价和独特的功能点,为更多消费者带来高品质儿童智能硬件产品。

Gululu Q智能语音水杯
Gululu Q智能语音水杯

让孩子不仅爱上喝水,还能边喝水边学习

作为兼具健康习惯管家与人工智能学习伙伴双重身份的儿童AIoT智能载体,Gululu Q智能语音水杯的特色尤为亮眼。Gululu Q内置天猫精灵智能语音助手,精选天猫精灵儿童版海量内容,天文地理诗词歌赋无所不知,造就儿童专属的纯净AI内容环境。同时,Gululu独特的AI算法饮水监测技术,能精准测量并追踪饮水数据,与App数据同步;丰富的饮水趣味语音提醒,让饮水过程充满期待与乐趣。此外,正在热映的东方梦工厂首部原创动画电影《雪人奇缘》,与Gululu Q开展了深度IP合作,主角小雪人大毛作为限时特定角色,也加入新品水杯宠物大家庭。

ululu Q X 雪人奇缘 IP跨界合作
ululu Q X 雪人奇缘 IP跨界合作

三年五款产品进化迭代,始终以用户需求为基础

2016年5月,Gululu首发于全球最大的众筹平台之一 -- Kickstarter,不到四天即完成众筹目标,获得全球20多个国家媒体报道。首批量产的第一代Gululu水精灵互动水杯,凭借独特的宠物养成概念,短短数月产品便售罄。2017年初正式推出中文版,登陆中国市场。

在迅速收集来自全球用户的反馈和需求之后,优化升级的第二款产品Gululu Talk智能互动水杯,于2017年双十一期间正式上架天猫Gululu母婴旗舰店。主打让萌宠开口说话,Gululu Talk围绕喝水场景收录了300多句日常英语,为孩子打造全天候的英文陪伴;并定制了原创语音故事,有机结合科普知识与情商元素,培养孩子成长所需的软实力。

2018年,为了丰富用户的水杯使用场景,第三款Gululu Go互动水杯主打外出携带和户外运动需求,进行了外观、材质和性能上的升级。同时Gululu星系的新IP角色同期发布,新增宠物间打招呼的互动玩法,充分激发孩子的社交天性。

Gululu Go智能互动水杯
Gululu Go智能互动水杯

2019年5月,Gululu与中国精品杯壶铸造者希诺的品牌联合款Gululu Go 2智能互动水杯正式发布,除了在产品性能方面取得突破性改良之外,水杯内容的广度和趣味度也得以显著提升。Gululu Go 2新增十大内容订阅频道,与宝宝巴士、常州中华恐龙园、叽里呱啦、成长兔英语、火火兔等多家品牌进行跨界合作,频道内容涉及日常英语、天文科学、世界历史、恐龙知识、传统文化等多个领域,Gululu儿童内容平台属性初具雏形。在IP打造上,Gululu Go 2新加入两位水精灵成员 -- 小海马呦呦和希诺小熊诺诺,Gululu水精灵阵容持续扩大。

三年来,Gululu一直坚守初心,聆听消费者的声音,匠心打磨每一代产品,不断完善用户体验。伴随新品Gululu Q智能语音水杯的推出,以及其他创新儿童产品的研发,Gululu将凭借自身蓬勃的产品力,朝着营造儿童智能健康生态圈的长期目标奋进。

想要了解Gululu Q及其他Gululu互动水杯系列产品,请登录天猫“Gululu母婴旗舰店”https://gululumy.tmall.com。还可浏览Gululu智能互动水杯官方网站http://www.gululu.com,亦或关注“Gululu水精灵”微信公众号,了解更多关于Gululu的相关资讯。

消息来源:Gululu互动水杯
China-PRNewsire-300-300.png
相关链接:
全球TMT
微信公众号“全球TMT”发布全球互联网、科技、媒体、通讯企业的经营动态、财报信息、企业并购消息。扫描二维码,立即订阅!
collection