omniture

新思科技Fusion Design Platform延续在7nm设计领域的领导地位,第一年流片数突破100

降低7nm设计的功耗、提高性能并缩短上市时间
Synopsys, Inc.
2019-03-27 08:00 25071
新思科技近日宣布,在设计人员的推动下,Fusion Design Platform已实现重大7nm工艺里程碑,第一年流片数突破100,不仅设计实现质量提升了20%,设计收敛速度也提高了两倍。

加州山景城2019年3月27日 /美通社/ --

重点:

  • 在设计人员的推动下,加快部署7nm Fusion Design Platform,在具有挑战性的设计方面,不仅设计实现质量提升了20%,设计收敛速度也提高了两倍多
  • Fusion Design Platform重新定义了传统的设计工具界限,将最佳逻辑综合和布局布线、行业金牌signoff与新一代可测性设计技术进行整合,提供可预测的7nm全流程收敛方案,最大程度上减少了迭代次数

新思科技(Synopsys, Inc., 纳斯达克股票市场代码: SNPS)近日宣布,在设计人员的推动下,Fusion Design Platform™已实现重大7nm工艺里程碑,第一年流片数突破100,不仅设计实现质量提升了20%,设计收敛速度也提高了两倍。Fusion Design Platform由新思科技市场领先的数字设计工具组成,重新定义了传统的工具界限,共享引擎并使用独特的单一数据模型来进行逻辑和物理表示,不仅降低了具有挑战性的7nm设计功耗,也提升了性能。

新思科技芯片设计事业部联席总经理Sassine Ghazi表示:“用户正在快速部署Fusion Design Platform,这让我们感到非常鼓舞人心。我们已与用户设计团队密切合作,设计能够在高级工艺节点上应对日益升级的技术挑战的平台。通过使用7nm Fusion Design Platform,设计团队能够显著提高生产力,增加设计差异性并更快地推出他们的最终产品。”

Fusion Design Platform提供基于7nm极紫外单次曝光的优化,支持过孔支柱和连排打孔,以实现最大的设计可布线性和利用率,以及最少的电压降和电迁移。该平台通过使用Design Compiler® Graphical 和Design Compiler® NXT综合、IC Compiler™ II 布局布线和Fusion Compiler™ RTL-to-GDSII系统、TestMAX™ 测试与诊断、PrimeTime® signoff、StarRC®提取、RedHawk Analysis Fusion电源完整性,以及 IC Validator物理signoff 工具,提供最可预测的7nm全流程收敛方案,最大程度上减少迭代次数。

消息来源:Synopsys, Inc.
相关股票:
NASDAQ:SNPS
China-PRNewsire-300-300.png
相关链接:
全球TMT
微信公众号“全球TMT”发布全球互联网、科技、媒体、通讯企业的经营动态、财报信息、企业并购消息。扫描二维码,立即订阅!
collection