omniture

新思科技Fusion Design Platform成为首个获得三星EUV技术5LPE工艺认证的平台

采用融合技术的人工智能增强型云就绪平台可加快新一波工业创新
Synopsys, Inc.
2019-06-11 08:00 12767

加州山景城2019年6月11日 /美通社/ --

重点:

  • 三星使用64位Arm Cortex-A53和Cortex-A57处理器设计对新思科技Fusion Design Platform完成了5LPE工艺技术认证
  • Fusion Design Platform重新定义了传统的设计工具边界,提供更好的全流程设计实现质量以及缩短设计收敛、得到结果的时间,现在用于三星代工厂(Samsung Foundry)的先进5LPE工艺

新思科技(Synopsys, Inc.) (Nasdaq: SNPS)近日宣布,三星(Samsung Electronics)认证了新思科技Fusion Design Platform,用于三星采用EUV光刻技术的5纳米Low-Power Early(早期低功耗,简称“LPE”)工艺。人工智能(AI)增强型云就绪Fusion Design Platform提供前所未有的全流程设计实现质量和设计收敛速度,实现三星5LPE工艺技术提供的超高性能和低功耗,加速新一波半导体设计的开发,包括高性能计算(HPC)、汽车、5G和人工智能细分市场。

三星设计技术团队副总裁JY Choi表示:“7纳米产品的交付以及5纳米工艺开发的成功完成,证明了我们在基于EUV节点方面的能力。使用新思科技Fusion Design Platform,我们的共同客户将能够设计出最具竞争力的5LPE系统级芯片(SoC)产品,以满足超高性能和低功耗应用的需求。新思科技仍然是我们的首选厂商,在新节点开发和实现方面开展合作,因此我们的代工厂客户可以放心地在所有细分市场(包括汽车、人工智能、高性能计算和移动)提升他们的设计。”

三星代工厂使用64位Arm® Cortex®-A53和Cortex-A57处理器设计(基于Armv8架构)为Fusion Design Platform提供了认证。新思科技Fusion Design Platform面向三星5LPE工艺技术进行优化的关键工具和功能包括:

  • Fusion Compiler RTL-to-GDSII解决方案:高度优化的最新5LPE设计规则全流程支持,提供最佳设计可布线性和收敛以及最短的获得结果的时间。
  • IC Compiler II布局布线解决方案:EUV单曝光布线,提供优化的5LPE设计规则支持、单鳍单元多样化感知摆放合法化(single fin variant-aware legalization),以及过孔装订(via stapling),确保获得最大的利用率和最小的动态功耗
  • Design Compiler® Graphical和Design Compiler NXT RTL综合:结果的相关一致性、布线拥塞减少、感知引脚访问的优化、5LPE设计规则支持以及提供给IC Compiler II的物理指导
  • IC Validator物理signoff:云优化物理signoff,包括DRC、LVS和Fill。创新型Explorer DRC和Live DRC技术,以实现更高的生产力
  • PrimeTime®时序signoff:近阈值超低电压变异建模,过孔变异建模以及感知布局规则的工程变更指令(ECO)指南
  • StarRC寄生参数提取:支持基于EUV单曝光的布线,以及新的提取技术,如基于覆盖的过孔电阻和垂直栅极电阻建模
  • RedHawk Fusion:ANSYS RedHawk驱动的在布局布线工具环境中的EM/IR分析和优化
  • Synopsys TestMAX DFT和Synopsys TestMAX ATPG测试:基于FinFET的cell-aware,与基于时序裕量的传输延迟故障测试,以提高测试质量
  • Formality®等价性检验:基于UPF的等价性检验,提供状态转移验证

新思科技的Fusion Design Platform正在被市场领先的公司积极用于产品化设计项目;它重新定义了传统的设计工具边界,融合了同类最佳优化和行业金牌signoff和可测性设计(DFT)工具,用最少的迭代实现最可预测的全流程收敛。人工智能增强型平台通过加速计算密集型分析提高设计师的生产力,并利用过去的学习来达到卓越的设计实现结果质量。Fusion Design Platform提供精简高效的云就绪设计环境,在各大公共云提供商的基础设施以及新思科技托管的基础设施上均可实现。

新思科技芯片设计事业部总经理Sassine Ghazi表示:“与三星代工厂之间的长期成功合作使我们的共同客户能够尽早采用新思科技市场领先的解决方案,并在三星最先进的工艺节点上获得认证。将5LPE在功耗、性能和逻辑门密度方面的好处与新思科技Fusion Design Platform在设计实现质量和设计收敛速度方面的优势结合起来,将使我们的共同客户能够让他们的新一代产品脱颖而出。新思科技继续致力于为客户提供最佳解决方案。”

新思科技简介

新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)是众多创新型公司的 Silicon to Software™(“芯片到软件”)合作伙伴,这些公司致力于开发我们日常所依赖的电子产品和软件应用。作为全球第 15 大软件公司,新思科技长期以来一直是电子设计自动化(EDA)和半导体IP领域的全球领导者,并且在软件安全和质量解决方案方面也发挥着越来越大的领导作用。无论您是创建高级半导体的片上系统(SoC)设计人员,还是编写需要最高安全性和质量的应用程序的软件开发人员,新思科技都能够提供您所需要的解决方案,帮助您推出创新性的、高质量的、安全的产品。有关更多信息,请访问www.synopsys.com

ANSYS、ANSYS Workbench、AUTODYN、CFX、FLUENT以及任何和所有ANSYS, Inc.品牌、产品、服务和功能名称、图标和标语是ANSYS, Inc.或其美国或其他国家子公司的注册商标或商标。所有其他品牌、产品、服务和功能名称或商标是其各自所有者的财产。

编辑联系人:
Camille Xu
新思科技
电邮:wexu@synopsys.com 

James Watts
新思科技
电邮:jwatts@synopsys.com

消息来源:Synopsys, Inc.
相关股票:
NASDAQ:SNPS
China-PRNewsire-300-300.png
相关链接:
全球TMT
微信公众号“全球TMT”发布全球互联网、科技、媒体、通讯企业的经营动态、财报信息、企业并购消息。扫描二维码,立即订阅!
collection